Conference Papers

Many of the publications listed below are copyrighted by IEEE or ACM. LCA owns copyrights of all unpublished manuscripts listed below. Personal use of these materials is permitted. However, permission to reprint or replublish these materials for resale or redistribution purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works,must be obtained from the IEEE/ACM/LCA.
Accelerating ML Workloads using GPU Tensor Cores: The Good, the Bad, and the Ugly
Bagus Hanindhito and Lizy K. John.
15th ACM/SPEC International Conference on Performance Engineering. May 2024.
BLQ: Light-Weight Locality-Aware Runtime for Blocking-Less Queuing [PDF]
Qinzhe Wu, Ruihao Li, Jonathan Beard, and Lizy John.
ACM SIGPLAN 33rd International Conference on Compiler Construction. March 2024.
SACHI: A Stationarity-Aware, All-Digital, Near-Cache, Ising Architecture
Siddhartha Raman Sundara Raman, Lizy K. John, and Jaydeep P. Kulkarni.
The 30th International Symposium on High-Performance Computer Architecture (HPCA-30). March 2024.
ULEEN: A Novel Architecture for Ultra-low-energy Edge Neural Networks [PDF]
Zachary Susskind, Aman Arora, Igor Dantas Dos Santos Miranda, Alan T. L. Bacellar, Luis Armando Quintanilla Villon, Rafael Fontella Katopodis, Leandro Santiago de Araujo, Diego Leonel Cadette Dutra, Priscila Machado Vieira Lima, Felipe Maia Galvao Franca, Mauricio Breternitz Jr., and Lizy K. John.
ACM Transactions on Architecture and Code Optimization (TACO). December 2023.
A conditional branch predictor based on weightless neural networks [PDF]
Luis Armando Quintanilla Villon, Zachary Susskind, Alan T. L. Bacellar, Igor Dantas Dos Santos Miranda, Leandro Santiago de Araujo, Priscila Machado Vieira Lima, Mauricio Breternitz Jr., Lizy K. John, Felipe Maia Galvao Franca, and Diego Leonel Cadette Dutra.
Neurocomputing . 2023.
COIN: Combinational Intelligent Networks [PDF]
Igor Dantas Dos Santos Miranda, Aman Arora, Zachary Susskind, Josias S. A. Souza, Mugdha P. Jadhao, Luis Armando Quintanilla Villon, Diego Leonel Cadette Dutra, Priscila Machado Vieira Lima, Felipe Maia Galvao Franca, Mauricio Breternitz Jr., and Lizy K. John.
IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP) . 2023.
CoMeFa: Deploying Compute-in-Memory on FPGAs for Deep Learning Acceleration. [PDF]
Aman Arora, Atharva Bhamburkar, Aatman Borda, Tanmay Anand, Rishabh Sehgal, Bagus Hanindhito, Pierre-Emmanuel Gaillardon, Jaydeep Kulkarni, and Lizy K. John.
ACM Transactions on Reconfigurable Systems (TRETS). 2023.
Dendrite-inspired Computing to Improve Resilience of Neural Networks to Faults in Emerging Memory Technologies [PDF]
Lizy K. John, Felipe M. G. Franca, Subhasish Mitra, Zachary Susskind, Priscila M. V. Lima, Igor D. S. Miranda, Eugene B. John, Diego L. C. Dutra, and Mauricio Breternitz Jr..
2023 IEEE International Conference on Rebooting Computing (ICRC'23). 2023.
Do Video Encoding Workloads Stress the Microarchitecture? [PDF]
Steffen Jensen, Jaekyu Lee, Dam Sunwoo, Matt Horsnell, Matthew Siggs, Jeeho Ryoo, and Lizy K. John.
2023 IEEE International Symposium on Workload Characterization (IISWC). 2023.
FAWS: FPGA Acceleration of Large-Scale Wave Simulations [PDF]
Dimitrios Gourounas, Bagus Hanindhito, Arash Fathi, Dimitar Trenev, Lizy K. John, and Andreas Gerstlauer.
IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP) . 2023.
Guard Cache: Creating False Cache Hits and Misses To Mitigate Side-Channel Attacks. [PDF]
Fernando Mosquera, Krishna Kavi, Gayatri Mehta, and Lizy K. John.
2023 Silicon Valley Cybersecurity Conference (SVCC). 2023.
HLSDataset: Open-Source Dataset for ML-Assisted FPGA Design using High Level Synthesis [PDF]
Zhigang Wei, Aman Arora, Ruihao Li, and Lizy K. John.
IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP) . 2023.
Infinity Stream: Portable and Programmer-Friendly In-/Near-Memory Fusion [PDF]
Zhengrong Wang, Christopher Liu, Aman Arora, Lizy K. John, and Tony Nowatzki.
28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS) . 2023.
Koios 2.0: Open-Source Deep Learning Benchmarks for FPGA Architecture and CAD Research [PDF]
Aman Arora, Andrew Boutros, Seyed Alireza Damghani, Karan Mathur, Vedant Mohanty, Tanmay Anand, Mohamed Elgammal, Kenneth B. Kent, Vaughn Betz, and Lizy K. John.
IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems (TCAD). 2023.
LAWS: Large-Scale Accelerated Wave Simulations on FPGAs
Dimitrios Gourounas, Bagus Hanindhito, Arash Fathi, Dimitar Trenev, Lizy K. John, and Andreas Gerstlauer.
2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA'23). 2023.
NextGen-Malloc: Giving Memory Allocator Its Own Room in the House [PDF]
Ruihao Li, Qinzhe Wu, Krishna Kavi, Gayatri Mehta, Neeraja J. Yadwadkar, and Lizy K. John.
HotOS XIX: The 19th Workshop on Hot Topics in Operating Systems (HotOS) . 2023.
Performance Implications of Async Memcpy and UVM: A Tale of Two Data Transfer Modes [PDF]
Ruihao Li, Sanjana Yadav, Qinzhe Wu, Krishna Kavi, Gayatri Mehta, Neeraja J. Yadwadkar, and Lizy K. John.
2023 IEEE International Symposium on Workload Characterization (IISWC). 2023.
A WiSARD-based conditional branch predictor [PDF]
Luis Armando Quintanilla Villon, Zachary Susskind, Alan T. L. Bacellar, Igor Dantas Dos Santos Miranda, Leandro Santiago de Araujo, Priscila Machado Vieira Lima, Mauricio Breternitz Jr., Lizy K. John, Felipe Maia Galvao Franca, and Diego Leonel Cadette Dutra.
European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning (ESANN). October 2022.
Distributive thermometer: A new unary encoding for weightless neural networks [PDF]
Alan T. L. Bacellar, Zachary Susskind, Luis Armando Quintanilla Villon, Igor Dantas Dos Santos Miranda, Leandro Santiago de Araujo, Diego Leonel Cadette Dutra, Mauricio Breternitz Jr., Lizy K. John, Priscila Machado Vieira Lima, and Felipe Maia Galvao Franca.
European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning (ESANN). October 2022.
Pruning Weightless Neural Networks [PDF]
Zachary Susskind, Alan T. L. Bacellar, Aman Arora, Luis Armando Quintanilla Villon, Renan Mendanha, Leandro Santiago de Araujo, Diego Leonel Cadette Dutra, Priscila Machado Vieira Lima, Felipe Maia Galvao Franca, Igor Dantas Dos Santos Miranda, Mauricio Breternitz Jr., and Lizy K. John.
European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning (ESANN). October 2022.
Weightless Neural Networks for Efficient Edge Inference [PDF]
Zachary Susskind, Aman Arora, Igor Dantas Dos Santos Miranda, Luis Armando Quintanilla Villon, Rafael Fontella Katopodis, Leandro Santiago de Araujo, Diego Leonel Cadette Dutra, Priscila Machado Vieira Lima, Felipe Maia Galvao Franca, Mauricio Breternitz Jr., and Lizy K. John.
International Conference on Parallel Architectures and Compilation Techniques (PACT). October 2022.
SPAMeR: Speculative Push for Anticipated Message Requests in Multi-Core Systems [PDF] [MP4]
Qinzhe Wu, Ashen Ekanayake, Ruihao Li, Jonathan Beard, and Lizy K. John.
The IEEE International Conference on Parallel Processing (ICPP). August 2022.
Tensor Slices: FPGA Building Blocks for the Deep Learning Era [PDF]
Aman Arora, Moinak Ghosh, Samidh Mehta, Vaughn Betz, and Lizy K. John.
ACM Transactions on Reconfigurable Systems (TRETS). August 2022.
LogicWiSARD: Memoryless Synthesis of Weightless Neural Networks [PDF]
Igor Dantas Dos Santos Miranda, Aman Arora, Zachary Susskind, Lizy K. John, Luis Armando Quintanilla Villon, Rafael Fontella Katopodis, Diego Leonel Cadette Dutra, Priscila Machado Vieira Lima, Felipe Maia Galvao Franca, Leandro Santiago de Araujo, and Mauricio Breternitz Jr..
IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP). July 2022.
GAPS: GPU-acceleration of PDE Solvers for Wave Simulation [PDF]
Bagus Hanindhito, Dimitrios Gourounas, Aarash Fathi, Dimitar Trenev, Andreas Gerstlauer, and Lizy K. John.
ICS '22: Proceedings of the 36th ACM International Conference on Supercomputing. June 2022.
CoMeFa: Compute-in-Memory Blocks for FPGAs (Best Paper Award) [PDF] [IMG]
Aman Arora, Tanmay Anand, Aatman Borda, Rishabh Sehgal, Bagus Hanindhito, Jaydeep Kulkarni, and Lizy K. John.
The IEEE International Symposium on Field-Programmable Custom Computing Machines. May 2022.
Hardware-aware 3D Model Workload Selection and Characterization for Graphics and ML Applications [PDF]
Ruihao Li, Aman Arora, Sikan Li, Qinzhe Wu, and Lizy K. John.
The 23rd International Symposium on Quality Electronic Design (ISQED'22). April 2022.
LogGen: A Parameterized Generator for Designing Floating-Point Logarithm Units for Deep Learning [PDF]
Pragnesh Patel, Aman Arora, Earl Swartzlander, and Lizy K. John.
The 23rd International Symposium on Quality Electronic Design (ISQED'22). April 2022.
Compute RAMs: Adaptable Compute and Storage Blocks for DL-Optimized FPGAs [PDF] [PDF]
Aman Arora, Bagus Hanindhito, and Lizy K. John.
LCA Group, Department of Electrical and Computer Engineering, The University of Texas at Austin. Asilomar Conference on Signals, Systems, and Computers (ASILOMAR). No. TR-210607-01. October 2021.
Hardware Accelerator Integration Tradeoffs for High-Performance Computing: A Case Study of GEMM Acceleration in N-Body Methods [PDF]
Mochamad Asri, Dhairya Malhotra, Jiajun Wang, George Biros, Lizy K. John, and Andreas Gerstlauer.
IEEE Transactions on Parallel and Distributed Systems (Volume: 32, Issue: 8, August 2021). August 2021.
Koios: A Deep Learning Benchmark Suite for FPGA Architecture and CAD Research [PDF]
Aman Arora, Andrew Boutros, Daniel Rauch, Aishwarya Rajen, Aatman Borda, Seyed Alireza Damghani, Samidh Mehta, Sangram Kate, Pragnesh Patel, Kenneth B. Kent, Vaughn Betz, and Lizy K. John.
The IEEE International Conference on Field-Programmable Logic and Applications (FPL). August 2021.
Wave-PIM: Accelerating Wave Simulation Using Processing-in-Memory [PDF]
Bagus Hanindhito*, Ruihao Li*, Dimitrios Gourounas, Arash Fathi, Karan Govil, Dimitar Trenev, Andreas Gerstlauer, and Lizy K. John.
The IEEE International Conference on Parallel Processing (ICPP). August 2021.
ISCA 2021 - Panel 1: Microprocessors at 50 [PDF] [MP4]
The 48th International Symposium on Computer Architecture. June 2021.
Thermal-Aware Design Space Exploration of 3D Systolic ML Accelerators [PDF]
Rahul Matur, Ajay Krishna Ananda Kumar, Lizy K. John, and Jaydeep Kulkarni.
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits (Volume: 7, Issue: 1, June 2021). June 2021.
Virtual-Link: A Scalable Multi-Producer, Multi-Consumer Message Queue Architecture for Cross-Core Communication [PDF] [MP4]
Qinzhe Wu, Jonathan Beard, Ashen Ekanayake, Andreas Gerstlauer, and Lizy K. John.
International Parallel and Distributed Processing Symposium (IPDPS). May 2021.
Improving CNN Performance on FPGA Clusters through Topology Exploration [PDF]
Ruihao Li, Ke Liu, Xiaojun Cai, Mengying Zhao, Lizy K. John, and Zhiping Jia.
The 36th ACM/SIGAPP Symposium On Applied Computing (SAC 2021). March 2021.
Tensor Slices to the Rescue: Supercharging ML acceleration on FPGAs [PDF]
Aman Arora, Samidh Mehta, Vaughn Betz, and Lizy K. John.
International Symposium on Field-Programmable Gate Arrays (FPGA'21). February 2021.
Accelerating Force-directed Graph Layout with Processing-in-Memory Architecture [PDF]
Ruihao Li, Shuang Song, Qinzhe Wu, and Lizy K. John.
The 2020 International Conference on High Performance Computing, Data, and Analytics. December 2020.
ATTC (@𝐶) : Addressable-TLB based Translation Coherence [PDF]
Harsh Gugale, Nagendra Gulur, Yashwant Marathe, and Lizy K. John.
The 2020 International Conference on Parallel Architectures and Compilation Techniques (PACT ’20). October 2020.
Demystifying the MLPerf Training Benchmark Suite [PDF]
Snehil Verma, Qinzhe Wu, Bagus Hanindhito, Gunjan Jha, Eugene John, Ramesh Radhakrishnan, and Lizy Kurian John.
IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). August 2020.
SimTrace: Capturing Over Time Program Phase Behavior (poster) [PDF]
Steven Flolid, Emily Shriver, Zachary Susskind, Benjamin Thorell, and Lizy K. John.
IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). August 2020.
Design Space Exploration for Softmax Implementations [PDF]
Zhigang Wei, Aman Arora, Pragenesh Patel, and Lizy Kurian John.
The 31st IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP). July 2020.
Hamamu: Specializing FPGAs for ML Applications by Adding Hard Matrix Multiplier Blocks [PDF]
Aman Arora, Zhigang Wei, and Lizy Kurian John.
The 31st IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP). July 2020.
Reducing Data Movement and Energy in Multilevel Cache Hierarchies without Losing Performance: Can you have it all? [PDF]
Jiajun Wang, Prakash Ramrakhyani, Wendy Elsasser, and Lizy Kurian John.
The 28th International Conference on Parallel Architectures and Compilation Techniques (PACT) 2019. September 2019.
Can We Trust Profiling Results? Understanding and Fixing the Inaccuracy in Modern Profilers
Hao Xu, Qingsen Wang, Shuang Song, Lizy Kurian John, and Xu Liu.
The ACM International Conference on Supercomputing (ICS). June 2019.
A Study of Core Utilization and Residency in Heterogeneous Smart Phone Architectures [PDF]
Joseph Whitehouse, Qinzhe Wu, Shuang Song, Eugene John, Andreas Gerstlauer, and Lizy K. John.
ACM International Conference on Performance Engineering (ICPE). April 2019.
Demystifying Hardware Infrastructure Choices for Deep Learning Using MLPerf
Ramesh Radhakrishnan, Snehil Verma, Qinzhe Wu, Bagus Hanindhito, Gunjan Jha, Eugene John, and Lizy Kurian John.
Nvidia GPU Technology Conference 2019 (GTC) . March 2019.
ComP-Net: Command Processor Networking for Efficient Intra-kernel Communications on GPUs
Michael LeBeane, Khaled Hamidouche, Mauricio Breternitz, Brad Benton, Steve Reinhardt, and Lizy K. John.
International Conference on Parallel Architectures and Compilation Techniques (PACT) . November 2018.
Hot Regions in SPEC CPU2017 [PDF]
Qinzhe Wu, Steven Flolid, Shuang Song, Junyong Deng, and Lizy K. John.
IEEE International Symposium on Workload Characterization (IISWC). October 2018.
Puzzle Memory: A Multifractional Partitioned Heterogeneous Memory Scheme [PDF]
Jee Ho Ryoo, Shuang Song, and Lizy K. John.
International Conference on Computer Design (ICCD). October 2018.
Characterization of Smartphone Governor Strategies [PDF]
Sarbartha Banerjee and Lizy K. John.
European Conference on Parallel Processing (EuroPar). August 2018.
A Case for Granularity Aware Page Migration
Jee Ho Ryoo, Lizy K. John, and Arkaprava Basu.
The ACM International Conference on Supercomputing (ICS). June 2018.
Benchmarking Big Data Systems: A Review
Rui Han, Lizy K. John, and Jianfeng Zhan.
IEEE Transactions on Services Computing. June 2018.
HALO: A Hierarchical Memory Access Locality Modeling Technique for Memory System Exploration
Reena Panda and Lizy K. John.
The ACM International Conference on Supercomputing (ICS). June 2018.
CAMP: Accurate Modeling of Core and Memory Locality for Proxy Generation of Big-data Applications
Reena Panda, Xinnian Zheng, Andreas Gerstaluer, and Lizy K. John.
Conference for Design, Automation and Test in Europe (DATE). March 2018.
Wait of a Decade: Did SPEC CPU 2017 Broaden the Performance Horizon? [PDF]
Reena Panda*, Shuang Song*, Joseph Dean, and Lizy K. John (Reena and Shuang are both co-first authors).
IEEE International Symposium on High-Performance Computer Architecture(HPCA). February 2018.
BUQS: Battery- and User-aware QoS Scaling for Interactive Mobile Devices
Wooseok Lee, Reena Panda, Dam Sunwoo, Jose Joao, Andreas Gerstlauer, and Lizy K. John.
Asia and South Pacific Design Automation Conference (ASP-DAC). January 2018.
SelSMaP: A Selective Stride Masking Prefetching Scheme
Jiajun Wang, Reena Panda, and Lizy K. John.
ACM Transactions on Architecture and Code Optimization (TACO). 2018.
Start Late or Finish Early: A Distributed Graph Processing System with Redundancy Reduction [PDF]
Shuang Song, Xu Liu, Qinzhe Wu, Andreas Gerstlauer, Tao Li, and Lizy K. John.
Proceedings of the VLDB Endowments (PVLDB). 2018.
GPU Triggered Networking for Intra-Kernel Communications [PDF]
Michael LeBeane, Khaled Hamidouche, Brad Benton, Mauricio Breternitz, Steven K. Reinhardt, and Lizy K. John.
The International Conference for High Performance Computing, Networking, Storage, and Analysis (SC). November 2017.
SelSMaP: A Selective Stride Masking Prefetching Scheme
Jiajun Wang, Reena Panda, and Lizy K. John.
International Conference on Computer Design (ICCD). November 2017.
CSALT: Context Switch Aware Large TLB [PDF]
Yashwant Marathe, Nagendra Gulur, Jee Ho Ryoo, Shuang Song, and Lizy K. John.
International Symposium on Microarchitecture (MICRO). October 2017.
POWSER: A Novel User-Experience Based Power Management Metric [PDF]
Maithili P. Gandhe, Lizy K. John, and Andreas Gerstlauer.
International Green and Sustainable Computing Conference (IGSC). October 2017.
Proxy Benchmarks for Emerging Big-Data Workloads [PDF]
Reena Panda and Lizy K. John.
International Conference on Parallel Architectures and Compilation Techniques (PACT). September 2017.
Rethinking TLB Designs in Virtualized Environments: A Very Large Part-of-Memory TLB [PDF]
Jee Ho Ryoo, Nagendra Gulur, Shuang Song, and Lizy K. John.
International Symposium on Computer Architecture (ISCA). June 2017.
Statistical Pattern Based Modeling of GPU Memory Access Streams
Reena Panda, Xinnian Zheng, Jiajun Wang, Andreas Gerstlauer, and Lizy K. John.
Design Automation Conference (DAC). June 2017.
Cloud-guided QoS and Energy Management for Mobile Interactive Web Applications [PDF]
Wooseok Lee, Dam Sunwoo, Andreas Gerstlauer, and Lizy K. John.
Mobile Software Engineering and Systems (MOBILESoft). May 2017.
Exploring Heterogeneous-ISA Core Architectures for High-Performance Energy-Efficient Mobile SoCs (Poster) [PDF]
Wooseok Lee, Dam Sunwoo, Christopher D. Emmons, Andreas Gerstlauer, and Lizy K. John.
Great Lakes Symposium on VLSI (GLSVLSI). May 2017.
Fine-Grain Program Snippets Generator for Mobile Core Design [PDF]
Shuang Song, Raj Desikan Mohamad Barakat, Sridhar Sundaram, Andreas Gerstlauer, and Lizy K. John.
Great Lakes Symposium on VLSI (GLSVLSI). May 2017.
Accurate Address Streams for LLC and Beyond (SLAB): A Methodology to Enable System Exploration
Reena Panda, Xinnian Zheng, and Lizy K. John.
International Symposium on Performance Analysis of Systems and Software (ISPASS) . April 2017.
Prefetching for Cloud Workloads: An Analysis Based on Address Patterns (Best Paper Nominee) [PDF]
Jiajun Wang, Reena Panda, Xinnian Zheng, and Lizy K. John.
International Symposium on Performance Analysis of Systems and Software (ISPASS) . April 2017.
Proxy benchmarks for emerging big-data workloads (Poster) [PDF]
Reena Panda and Lizy K. John.
International Symposium on Performance Analysis of Systems and Software (ISPASS) . April 2017.
High-Level Synthesis of Approximate Hardware under Joint Precision and Voltage Scaling [PDF]
Seogoo Lee, Lizy K. John, and Andreas Gerstlauer.
Design, Automation and Test in Europe (DATE) . March 2017.
Sampling-Based Binary-Level Cross-Platform Performance Estimation
Xinnian Zheng, Haris Vikalo, Shuang Song, Lizy K. John, and Andreas Gerstlauer.
Conference for Design, Automation and Test in Europe (DATE). March 2017.
SILC-FM: Subblocked InterLeaved Cache-Like Flat Memory Organization [PDF]
Jee Ho Ryoo, Mitesh R. Meswani, and Lizy K. John.
The IEEE Symposium on High Performance Computer Architecture (HPCA). February 2017.
Source-Level Performance, Energy, Reliability, Power and Thermal (PERPT) Simulation
Zhuoran Zhao, Andreas M. Gerstlauer, and Lizy K. John.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD). February 2017.
LACross: Learning-based Fine-grained Analytical Cross-Platform Performance and Power Prediction
Xinnian Zheng, Pradeep Ravikumar, Lizy K. John, and Andreas M. Gerstlauer.
International Journal of Parallel Programming (IJPP). January 2017.
Extended Task Queuing: Active Messages for Heterogeneous Systems [Link]
Michael LeBeane, Brandon Potter, Abhisek Pan, Alexandru Dutu, Vinay Agarwala, Wonchan Lee, Deepak Majeti, Bibek Ghimire, Eric Van Tassell, Samuel Wasmundt, Brad Benton, Mauricio Breternitz, Michael L. Chu, Mithuna Thottethodi, Lizy K. John, and Steven K. Reinhardt.
The International Conference for High Performance Computing, Networking, Storage, and Analysis (SC). November 2016.
SILC-FM: Subblocked InterLeaved Cache-Like Flat Memory Organization (poster) [Link]
Jee Ho Ryoo, Mitesh R. Meswani, Reena Panda, and Lizy K. John.
The IEEE International Conference on Parallel Architectures and Compilation Techniques (PACT). September 2016.
Proxy-Guided Load Balancing of Graph Processing Workloads on Heterogeneous Clusters [Link]
Shuang Song, Meng Li, Xinnian Zheng, Jee Ho Ryoo, Reena Panda, Michael LeBeane, Andreas Gerstlauer, and Lizy K. John.
The IEEE International Conference on Parallel Processing (ICPP). August 2016.
Genesys: Automatically Generating Representative Training-sets
Reena Panda, Xinnian Zheng, Jee Ho Ryoo, Michael LeBeane, Shuang Song, Andreas Gerstlauer, and Lizy K. John.
The IEEE International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS). July 2016.
Accurate Phase-Level Cross-Platform Power and Performance Estimation (Best Paper Award) [Link]
Xinnian Zheng, Lizy K. John, and Andreas Gerstlauer.
Design Automation Conference (DAC). June 2016.
Prefetching Techniques for Near-memory Throughput Processors [Link]
Reena Panda, Yasuko Eckert, Nuwan Jayasena, Onur Kayiran, Michael Boyer, and Lizy K. John.
The ACM International Conference on Supercomputing (ICS). June 2016.
Dynamic Core Allocation and Packet Scheduling in Multi Core Network Processors
Muhanmmad Faisal Iqbal, Jim Holt, Jee Ho Ryoo, Gustavo de Veciana, and Lizy K. John.
IEEE Transactions on Computers. 2016.
Data Partitioning Strategies for Graph Workloads on Heterogeneous Clusters [Link]
Michael LeBeane, Shuang Song, Reena Panda, Jee Ho Ryoo, and Lizy K. John.
The IEEE International Conference for High Performance Computing, Networking, Storage and Analysis (SC). November 2015.
Performance Characterization of Modern Databases on Out-of-order CPUs [PDF]
Reena Panda, Christopher Erb, Michael LeBeane, Jee Ho Ryoo, and Lizy K. John.
The IEEE International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD). October 2015.
WattWatcher: Fine-Grained Power Estimation For Emerging Workloads [PDF]
Michael LeBeane, Jee Ho Ryoo, Reena Panda, and Lizy K. John.
The IEEE International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD). October 2015.
i-MIRROR: A Software Managed Die-Stacked DRAM-Based Memory Subsystem [PDF]
Jee Ho Ryoo, Karthik Ganesan, Yaomin Chen, and Lizy K. John.
The IEEE International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD). October 2015.
GPGPU Benchmark Suites: How Well Do They Sample the Performance Spectrum (Best Paper Runner-Up) [PDF]
Jee Ho Ryoo, Saddam Quirem, Michael LeBeane, Reena Panda, Shuang Song, and Lizy K. John.
The IEEE International Conference on Parallel Processing (ICPP). September 2015.
Learning-basd Analytical Cross-Platform Performance Prediction (Best Paper Award) [PDF]
Xinnian Zheng, Pradeep Ravikumar, Lizy K. John, and Andreas Gerstlauer.
The IEEE International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS). July 2015.
PowerTrain: A Learning-based Calibration of McPAT Power Models [PDF]
Wooseok Lee, Youngchun Kim, Jee Ho Ryoo, Dam Sunwoo, Andreas Gerstlauer, and Lizy K. John.
The IEEE International Symposium on Low Power Electronics and Design (ISLPED). July 2015.
GPGPU-MiniBench: Accelerating GPGPU Micro-Architecture Simulation
Zhibin Yu, Lieven Eeckhout, Nilanjan Goswami, Tao Li, Lizy K. John, Hai Jin, Chengzhong Xu, and Junmin Wu.
IEEE Transactions on Computers. 2015.
Mechanistic Modeling of Architectural Vulnerability Factor
Arun Nair, Stijn Eyerman, Jian Chen, Lizy K. John, and Lieven Eeckhout.
ACM Transaction on Computer Systems. 2015.
Data Analytics Workloads: Characterization and Similarity Analysis [Link]
Reena Panda and Lizy K. John.
The IEEE International Performance Computing and Communications Conference (IPCCC). December 2014.
Performance Analysis of HPC Applications with Irregular Tree Data Structures [PDF]
Ahmed Khawaja, Jiajun Wang, Andreas Gerstlauer, Lizy K. John, Dhairya Malhotra, and George Biros.
The IEEE International Conference on Parallel and Distributed Systems (ICPADS). December 2014.
Control Flow Behavior of Cloud Workloads (Poster)
Jee Ho Ryoo, Michael LeBeane, Muhammad Faisal Iqbal, and Lizy K. John.
The IEEE International Symposium on Workload Characterization (IISWC). October 2014.
Automatic Generation of Miniaturized Synthetic Proxies for Target Applications to Efficiently Design Multicore Processors
Karthik Ganesan and Lizy K. John.
IEEE Transactions on Computers. April 2014.
Cache Friendliness Aware Management of Last-level Caches for High Performance Multi-core Systems
Dimitris Kaseridis, Muhammad Faisal Iqbal, and Lizy K. John.
IEEE Transactions on Computers. April 2014.
FastSpot: Host-Compiled Thermal Estimation for Early Design Space Exploration [PDF]
Darshan Gandhi, Andreas Gerstlauer, and Lizy K. John.
The IEEE International Symposium on Quality Electronic Design (ISQED). March 2014.
Performance Boosting under Reliability and Power Constraints [PDF]
Youngtaek Kim, Lizy K. John, Indrani Paul, Srilatha Manne, and Michael Schulte.
The IEEE/ACM International Conference on Computer-Aided Design (ICCAD). November 2013.
Flow Migration on Multicore Network Processors: Load Balancing While Minimizing Packet Reordering [PDF]
Muhammad Faisal Iqbal, Jim Holt, Jee Ho Ryoo, Gustavo de Veciana, and Lizy K. John.
The IEEE International Conference on Parallel Processing (ICPP). October 2013.
Store-Load Branch (SLB) Predictor: A Compiler Assisted Branch Prediction for Data Dependent Branches [PDF]
Muhammad Umar Farooq, Khubaib, and Lizy K. John.
The 19th IEEE International Symposium on High Performance Computer Architecture. March 2013.
Automating Stressmark Generation for testing Processor Voltage Fluctuations
Youngtaek Kim, Sanjay Pant, Srilatha Manne, Micheal Schulte, W. Lloyd Bircher, Madhu Saravana Sibi Govindan, and Lizy K. John.
IEEE Micro. 2013.
AUDIT: Stress Testing the Automatic Way [PDF]
Youngtaek Kim, Sanjay Pant, Srilatha Manne, Michael Schulte, W. Lloyd Bircher, Madhu Saravana Sibi Govindan, and Lizy K. John.
The 45th International Symposium on Microarchitecture (MICRO'45). December 2012.
Performance Impact of Virtual Machine Placement in a Datacenter [PDF]
Indrani Paul, Sudhakar Yalamanchili, and Lizy K. John.
The 31st International Performance Computing and Communications Conference. December 2012.
Efficient Traffic Aware Power Management for Multicore Communications Processors [PDF]
Muhammad Faisal Iqbal and Lizy K. John.
Symposium on Architectures for Networking and Communication Systems (ANCS). October 2012.
A First-Order Mechanistic Model for Architectural Vulnerability Factor [PDF]
Arun A. Nair, Stijn Eyerman, Lieven Eeckhout, and Lizy K. John.
The 39th Interenational Symposium on Computer Architecture (ISCA-39). June 2012.
Power and Performance Analysis of Network Traffic Prediction Techniques [PDF]
Muhammad Faisal Iqbal and Lizy K. John.
IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). April 2012.
Compiler Support for Value-based Indirect Branch Prediction [PDF]
Muhammad Umar Farooq, Lei Chen, and Lizy K. John.
The 21st International Conference on Compiler Construction (CC). March 2012.
Minimalist Open-page: A DRAM Page-mode Scheduling Policy for the Many-core Era (Best Paper Nominee) [PDF]
Dimitris Kaseridis, Jeffrey Stuecheli, and Lizy K. John.
44th International Symposium on Microarchitecture (MICRO'44) . December 2011.
Autocorrelation Analysis: A New and Improved Method for Branch Predictability Characterization [PDF]
Jian Chen and Lizy K. John.
IEEE International Symposium on Workload Characterization . November 2011.
MAximum Multicore POwer (MAMPO) - An Automatic Multithreaded Synthetic Power Virus Generation Framework for Multicore Systems (Best paper finalist) [PDF]
Karthik Ganesan and Lizy K. John.
in the SuperComputing conference (SC 2011). November 2011.
Automated di/dt stressmark generation for microprocessor power delivery networks [PDF]
Youngtaek Kim and Lizy K. John.
The International Symposium on Low Power Electronics and Design (ISLPED). August 2011.
Autocorrelation Analysis: A New and Improved Method for Measuring Branch Predictability [PDF]
Jian Chen and Lizy K. John.
International Symposium on Measurement and Modeling of Computer System (SIGMETRICS) . June 2011.
Modeling Program Resource Demand Using Inherent Program Characteristics [PDF]
Jian Chen, Lizy K. John, and Dimitris Kaseridis.
International Symposium on Measurement and Modeling of Computer System (SIGMETRICS) . June 2011.
Predictive Coordination of Multiple On-chip Resources for Chip Multiprocessors [PDF]
Jian Chen and Lizy K. John.
The 25th International Symposium on Supercomputing (ICS) . June 2011.
Core-Level Activity Prediction for Multi-Core Power Management
William Lloyd Bircher and Lizy K. John.
IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS). 2011.
AVF Stressmark: Towards an Automated Methodology for Bounding the Worst-case Vulnerability to Soft Errors [PDF]
Arun A. Nair, Lizy K. John, and Lieven Eeckhout.
The 43rd Interenational Symposium on Microchitecture. December 2010.
Elastic Refresh: Techniques to Mitigate Refresh Penalties in High Density Memory [PDF]
Jeff Stuecheli, Dimitris Kaseridis, David Daly, Hillery Hunter, and Lizy K. John.
The 43rd Interenational Symposium on Microchitecture. December 2010.
System-level Max Power (SYMPO) - A Systematic Approach for Escalating System Level Power Consumption Using Synthetic Benchmarks [PDF]
Karthik Ganesan, Jungho Jo, W. Lloyld Bircher, Dimitrics Kaseridis, Zhibin Yu, and Lizy K. John.
in 19th International Conference on Parallel Architecture and Compilation Techniques (PACT). September 2010.
The Virtual Write Queue: Coordinating DRAM and Last-Level Cache Policies [PDF]
Jeff Stuecheli, Dimitris Kaseridis, David Daly, Hillery Hunter, and Lizy K. John.
The 37th Interenational Symposium on Computer Architecture. June 2010.
Synthesizing Memory-Level Parallelism Aware Miniature Clones for SPEC CPU2006 and ImplantBench Workloads [PDF]
Karthik Ganesan, Jungho Jo, and Lizy K. John.
2010 International Symposium on Performance Analysis of Systems and Software. March 2010.
Bandwidth-aware Memory-subsystem Resource Management using Non-invasive Resource Profilers for Large CMP Systems [PDF]
Dimitris Kaseridis, Jeffrey Stuecheli, Jian Chen, and Lizy K. John.
The 16th International Symposium on High-performance Computer Architecture . January 2010.
Value Based BTB Indexing (VBBI) for Indirect Jump Prediction (Best Paper Nominee) [PDF]
Muhammad Umar Farooq, Lei Chen, and Lizy K. John.
The 16th Interenational Symposium on High-Performance Computer Architecture. January 2010.
ESKIMO - Energy Savings using Semantic Knowledge of Inconsequential Memory Occupancy for DRAM subsystem [PDF]
Ciji Isen and Lizy K. John.
The 42nd Annual IEEE/ACM International Symposium on Microarchitecture. December 2009.
Bank-aware Dynamic Cache Partitioning for Multicore Architectures (Best Paper Award) [PDF]
Dimitris Kaseridis, Jeffrey Stuecheli, and Lizy K. John.
The 38th International Conference on Parallel Processing . September 2009.
TSS:Applying Two Stage Sampling in Micro-architecture Simulations [PDF]
Zhibin Yu, Hai Jin, Jian Chen, and Lizy K. John.
17th International Symposium on Modelling, Analysis and Simulation of Computer and Telecommunication Systems . September 2009.
Efficient Program Scheduling for Heterogeneous Multi-core Processors [PDF]
Jian Chen and Lizy K. John.
46th Design Automation Conference. July 2009.
Enhanced Hierarchical Instruction Scheduling for Tiled Dataflow Architectures [PDF]
Muhammad Umar Farooq and Lizy K. John.
Interenational Conference on Compiler Construction. March 2009.
Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architecdtures [PDF]
Muhammad Umar Farooq, Lizy K. John, and Margarida F. Jacome.
4th Interenational Conference on High Performance and Embedded Architectures and Compilers. January 2009.
Embedded Java Benchmark Analysis on the ARM Processor
Ciji Isen, Hari Angepat, Lizy K. John, Choi Jung Pil, and Hyo Jung Song.
International Journal on Embedded Systems. 2009.
Hardware acceleration for media / transaction applications in Network Processors
Byeong Kil Lee and Lizy K. John.
IEEE Transactions on VLSI. 2009.
Simulation Points for SPEC 2006 [PDF]
Arun A. Nair and Lizy John.
International Conference on Computer Design (ICCD'08). October 2008.
A Performance Counter Based Workload Characterization on BlueGene/P [PDF]
Karthik Ganesan, Lizy K. John, James Sexton, and Valentina Salapura.
37th International Conference on Parallel Processing. September 2008.
Energy-aware Application Scheduling on a Heterogeneous Multi-core System [PDF]
Jian Chen and Lizy K. John.
IEEE International Symposium on Workload Characterization. September 2008.
On the Representativeness of Embedded Java Benchmarks [PDF]
Ciji Isen, Lizy K. John, Jung Pil Choi, and Hyo Jung Song.
IEEE International Symposium on Workload Characterization. September 2008.
Analyzing and Improving Clustering Based Sampling for Microprocessor Simulation
Yue Luo, Ajay Joshi, Aashish Phansalkar, Lizy K. John, and Joydeep Ghosh.
International Journal of High Performance Computing and Networking. August 2008.
Analysis of Dynamic Power Management on Multi-core Processors [PDF]
W. Lloyd Bircher and Lizy John.
22th ACM Interenational Conference on Supercomputing. June 2008.
Next-Generation Performance Counters: Monitoring Over Thousand Concurrent Events [PDF]
Valentina Salapura, Karthik Ganesan, Alan Gara , Michael Gschwind, James C. Sexton, and Robert E. Walkup.
2008 International Symposium on Performance Analysis of Systems and Software. April 2008.
Automated Microprocessor Stressmark Generation [PDF]
Ajay Joshi, Lieven Eeckhout, Lizy K. John, and Ciji Isen.
The 14th International Symposium on High Performance Computer Architecture (HPCA) . February 2008.
Distilling the Essence of Proprietary Workloads into Miniature Benchmarks
Ajay Joshi, Lieven Eeckhout, Robert H. Bell Jr., and Lizy K. John.
ACM Transactions on Architecture and Code Optimization (TACO). 2008.
Analysis of Redundency and Application Balance in the SPEC CPU2006 Benchmark Suite [PDF]
Aashish Phansalkar, Ajay Joshi, and Lizy K. John.
The 34th International Symposium on Computer Architecture (ISCA) . June 2007.
Complete System Power Estimation: A Trickle-Down Approach Based on Performance Events [PDF]
W. Lloyd Bircher and Lizy K.John.
International Symposium on Performance Analysis of Systems and Software. April 2007.
Hardware Efficient Piecewise Linear Branch Predictor [PDF]
Jiajin Tu, Jian Chen, and Lizy K. John.
20th International Conference on VLSI Design. January 2007.
Evaluating Benchmark Subsetting Approaches [PDF]
Joshua J.Yi, Resit Sendag, Lieven Eeckhout, Ajay Joshi, David J. Lilja, and Lizy K. John.
International Symposium on Workload Characterization. October 2006.
Performance Cloning: A Technique for Disseminating Proprietary Applications as Benchmarks [PDF]
Ajay Joshi, Lieven Eeckhout, Robert H.Bell Jr., and Lizy K. John.
International Symposium on Workload Characterization. October 2006.
The DaCapo Benchmarks:Java Benchmarking Development and Analysis [PDF]
Stephen M.Blackburn, Robin Garner, Chris Hoffmann, Asjad M. Khan, Kathryn S. McKinley, Rotem Bentzur, Amer Diwan, Daniel Feinberg, Daniel Frampton, Samuel Z.Guyer, Martin Hirzel, Antony Hosking, Maria Jump, Han Lee, J Eliot B Moss, Aashish Phansalkar, Darko Stefanovic, Thomas VanDrunen, Daniel von Dincklage, and Ben Wiedermann.
Object Oriented Programming Systems,Languages and Applications 2006(OOPSLA'06). October 2006.
Performance Prediction Based on Inherent Program Similarity [PDF]
Kenneth Hoste, Aashish Phansalkar, Lieven Eeckhout, Andy Georges, Lizy K. John, and Koen De Bosschere.
15th International Conference on Parallel Architecture and COmpilation Techniques (PACT) . September 2006.
Avoiding Store Misses To Fully Modified Cache Blocks [PDF]
Shiwen Hu and Lizy K. John.
IEEE International Performance Computing and Communications Conference. April 2006.
OS-aware Tuning: Improving Instruction Cache Energy Efficiency on System Workloads [PDF]
Tao Li and Lizy K. John.
IEEE International Performance Computing and Communications Conference. April 2006.
Automatic Testcase Synthesis and Performance Model Validation for High Performance PowerPC Processors [PDF]
Robert H. Bell, Jr, Rajiv R. Bhatia, Lizy K. John, Jeff Stuecheli, John Griswell, Paul Tu, Louis Capps, Anton Blanchard, and Ravel Thai.
IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). March 2006.
Evaluating the Efficacy of Statistical Simulation for Early Design Space Exploration
Ajay Joshi, Joshua Yi, Robert H. Bell, Jr, Lieven Eeckhout, Lizy K. John, and David Lilja.
IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). March 2006.
Analyzing and Improving Clustering Based Sampling for Microprocessor Simulation [PDF]
Yue Luo, Ajay Joshi, Aashish Phansalkar, Lizy John, and Joydeep Ghosh.
17th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD). October 2005.
Efficient Power Analysis using Synthetic Testcases [PDF]
Robert H. Bell, Jr. and Lizy K. John.
IEEE International Symposium on Workload Characterization. October 2005.
Simulating Commercial Java Throughput Workloads: A Case Study
Yue Luo and Lizy John.
International Conference on Computer Design (ICCD'05). October 2005.
Runtime Identification of Microprocessor Energy Saving Opportunities [PDF]
W. Lloyd Bircher, Madhavi Valluri, Lizy John, and Jason Law.
International Symposium on Low Power Electronics and Design. pp 275-280. August 2005.
Architectural Support for Accelerating Congestion Control Applications in Network Processors
Byeong Kil Lee, Lizy K. John, and Eugene John.
IEEE 16th International Conference on Application-specific Systems, Architectures and Processors (ASAP 2005). July 2005.
Improved Automatic Testcase Synthesis for Performance Model Validation [PDF]
Robert H. Bell, Jr. and Lizy K. John.
19th ACM International Conference on Supercomputing. June 2005.
Low Power, Low Complexity Instruction Issue Using Compiler Assistance [PDF]
Madhavi Valluri, Lizy K. John, and Kathryn McKinley.
19th ACM International Conference on Supercomputing. June 2005.
Effective Adaptive Computing Environment Management via Dynamic Optimization [PDF]
Shiwen Hu, Madhavi Valluri, and Lizy K. John.
International Symposium on Code Generation and Optimization. March 2005.
Measuring Program Similarity: Experiments with SPEC CPU Benchmark Suites [PDF]
Aashish Phansalkar, Ajay Joshi, Lieven Eeckhout, and Lizy K. John.
IEEE International Symposium on Performance Analysis of Systems and Software. March 2005.
Improving Server Performance on Transaction Processing Workloads by Enhanced Data Placement [PDF]
Juan Rubio, Charles Lefurgy, and Lizy K. John.
16th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD). October 2004.
Self-Monitored Adaptive Cache Warm-Up for Microprocessor Simulation [PDF]
Yue Luo, Lizy K. John, and Lieven Eeckhout.
16th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD). October 2004.
Analysis of the Execution of a Next Generation Application on Superscalar and Grid Processors [PDF]
Juan Rubio and Lizy John.
IEEE International Conference on Parallel and Distributed Systems. July 2004.
Control Flow Modeling in Statistical Simulation for Accurate and Efficient Processor Design Studies [PDF]
Lieven Eeckhout, Robert H. Bell, Jr., Bastiaan Stougie, Koen De Bosschere, and Lizy K. John.
International Symposium on Computer Architecture (ISCA). pp 350-361. June 2004.
NpBench: A Benchmark Suite for Control Plane and Data Plane Applications for Network Processors [PDF]
Byeong Kil Lee and Lizy John.
International Conference on Computer Design (ICCD'03). October 2003.
Exploiting compiler-generated schedules for energy savings in high-performance processors [PDF]
Madhavi Valluri, Lizy John, and Heather Hanson.
International Symposium on Low Power Electronics and Design (ISLPED'03). August 2003.
Facts and myths about media processing on general-purpose processors (Invited Paper)
Deepu Talla and Lizy John.
IEEE International Conference on Information Technology: Research and Education (Special Session on Technology and Trends in Media Processing). August 2003.
On Load Latency in Low-Power Caches [PDF]
S. Kim, N. Vijaykrishnan, M. J. Irwin, and L. K. John.
International Symposium on Low Power Electronics and Design (ISLPED'03). August 2003.
Routine based OS-aware Microprocessor Resource Adaptation for Run-time Operating System Power Saving [PDF]
Tao Li and Lizy John.
International Symposium on Low Power Electronics and Design (ISLPED). August 2003.
Improving Dynamic Cluster Assignment for Clustered Trace Cache Processors [PDF]
Ravi Bhargava and Lizy K. John.
30th International Symposium on Computer Architecture (ISCA'03). pp 264-274. June 2003.
Run-time Modeling and Estimation of Operating System Power Consumption [PDF]
Tao Li and Lizy John.
International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS). pp 160-171. June 2003.
Interface Design Techniques for Single Chip Systems [PDF]
Robert H. Bell, Jr. and Lizy John.
16th IEEE Conference on VLSI Design. January 2003.
Rehashable BTB: An Adaptive Branch Target Buffer to Improve the Target Predictability of Java Code [PDF]
Tao Li, Ravi Bhargava, and Lizy John.
9th International Conference on High Performance Computing (HiPC). Lecture Notes in Computer Science. Vol. 2552. pp 597-608. December 2002.
Modeling and Evaluation of Control Flow Prediction Schemes Using Complete System Simulation and Java Workloads [PDF]
Tao Li, Lizy John, and Robert H. Bell, Jr..
10th IEEE/ACM International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS). October 2002.
Understanding and Improving Operating System Effects in Control Flow Prediction [PDF]
Tao Li, Lizy John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, and Juan Rubio.
10th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-X). pp 68-80. October 2002.
Access Time and Energy Tradeoffs for Caches in High Frequency Microprocessors [PDF]
Eugene B. John, Stefan Petko, Lizy John, and Jason Law.
45th IEEE International Midwest Symposium on Circuits and Systems. August 2002.
Implications of Programmable General Purpose Processors for Compression/Encryption Applications [PDF]
Byeong Kil Lee and Lizy John.
IEEE 13th International Conference on Application-specific Systems, Architectures and Processors (ASAP 2002). July 2002.
Latency and Energy Aware Value Prediction for High-Frequency Processors [PDF]
Ravi Bhargava and Lizy John.
16th ACM Interenational Conference on Supercomputing. pp 45-56. June 2002.
Using Complete Machine Simulation for Software Power Estimation: The SoftWatt Approach [PDF]
Sudhanva Gurumurthi, Anand Sivasubramaniam, Mary Jane Irwin, Narayanan Vijaykrishnan, Mahmut Kandemir, Tao Li, and Lizy John.
2002 International Symposium on High Performance Computer Architecture. pp 141-150. February 2002.
CDMA as a Multiprocessor Interconnect Strategy [PDF]
Robert H. Bell, Jr., Chang Yong Kang, Lizy John, and Earl E. Swartzlander, Jr..
35th Asilomar Conference on Signals, Systems, and Computers. November 2001.
Understanding Control Flow Transfer and its Predictability in Java Processing [PDF]
Tao Li and Lizy John.
IEEE International Symposium on Performance Analysis of Systems and Software. pp 65-76. November 2001.
Workload Characterization of Multithreaded Java Servers [PDF]
Yue Luo and Lizy John.
IEEE International Symposium on Performance Analysis of Systems and Software. pp 128-136. November 2001.
Cost-effective Hardware Acceleration of Multimedia Applications [PDF]
Deepu Talla and Lizy John.
IEEE International Conference on Computer Design. pp 415-424. September 2001.
Improving Java Performance Using Hardware Translation [PDF]
Ramesh Radhakrishnan, Ravi Bhargava, and Lizy John.
15th ACM International Conference on Supercomputing. pp 427-439. June 2001.
The EASE Branch Predictor [PDF]
Serene Banerjee, Lizy K. John, and Brian L. Evans.
Proceedings of International Conference on Communications, Computers, and Devices. December 2000.
Evaluating Signal Processing and Multimedia Applications on SIMD, VLIW and Superscalar Architectures [PDF]
Deepu Talla, Lizy John, Victor Lapinskii, and Brian L. Evans.
IEEE International Conference on Computer Design. September 2000.
Allowing for ILP in an Embedded Java Processor [PDF]
Ramesh Radhakrishnan, Deepu Talla, and Lizy John.
International Symposium on Computer Architecture. pp 294-305. June 2000.
Using Complete System Simulation to Characterize SPECjvm98 Benchmarks [PDF]
Tao Li, Lizy John, N. Vijaykrishnan, Anand Sivasubramaniam, Jyotsna Sabarinathan, and A. Murthy.
14th ACM International Conference on Supercomputing. pp 22-33. May 2000.
Issues in the Design of Store Buffers in Dynamically Scheduled Processors [PDF]
Ravi Bhargava and Lizy John.
IEEE International Symposium on Performance Analysis of Systems and Software. pp 76-87. April 2000.
Execution Characteristics of Multimedia Applications on a Pentium II Processor [PDF]
Deepu Talla and Lizy John.
IEEE International Performance, Computing, and Communications Conference. pp 516-524. February 2000.
Architectural Issues in Java Runtime Systems [PDF]
Ramesh Radhakrishnan, N. Vijaykrishnan, Lizy John, and Anand Sivasubramaniam.
International Symposium on High Performance Computer Architecture. pp 387-398. January 2000.
An evolutionary computation embedded IIR LMS algorithm [PDF]
Deepu Talla, S. S. Rao, and Lizy John.
International Conference on Signal Processing Applications and Technology. November 1999.
Characterization of Java Applications at Bytecode and Ultra-SPARC Machine Code Levels [PDF]
Ramesh Radhakrishnan, Juan Rubio, and Lizy John.
IEEE International Conference on Computer Design. pp 281-284. October 1999.
On the Use of Pseudorandom Sequences for High Speed Resource Allocatiors in Superscalar Processors [PDF]
S. Srinivasan and Lizy John.
IEEE International Conference on Computer Design. pp 124-130. October 1999.
Performance Evaluation of Configurable Hardware Features on the AMD-K5 [PDF]
Mike Clark and Lizy John.
IEEE International Conference on Computer Design. pp 102-107. October 1999.
A Performance Study of Modern Web Applications [PS]
Ramesh Radhakrishnan and Lizy John.
International Euro-Par Conference. Lecture Notes in Computer Science. Vol. 1685. pp 239-247. August 1999.
Performance Evaluation and Benchmarking of Native Signal Processing [PS]
Deepu Talla and Lizy John.
International Euro-Par Conference. Lecture Notes in Computer Science. Vol. 1685. pp 266-270. August 1999.
Quantifying the effectiveness of MMX in native signal processing [PDF]
Deepu Talla and Lizy John.
IEEE Mid-West Symposium on Circuits and Systems. pp 18-21. August 1999.
Exploiting SIMD Parallelism in DSP and Multimedia Algorithms using the AltiVec Technology [PDF]
H. Nguyen and Lizy John.
ACM International Conference on Supercomputing. pp 11-20. June 1999.
Novel Low Power Static Energy recovery Adder [PDF]
Roy Shalem, Eugene John, and Lizy John.
Great Lakes Symposium on VLSI. pp 380-383. March 1999.
Accurately Modeling Speculative Instruction Fetching in Trace-Driven Simulation [PDF]
Ravi Bhargava, Lizy John, and Francisco Matus.
IEEE Performance, Computing, and Communications Conference. pp 65-71. February 1999.
Contrasting Branch Characteristics and Branch Predictor Performance of C++ and C Programs
David Tang, Ann M. G. Maynard, and Lizy John.
IEEE Performance, Computing, and Communications Conference. pp 275-283. February 1999.
The Effects of Memory Access Ordering on Multiple Issue Uniprocessor Performance [PS]
Brian Grayson, Lizy John, and Craig Chase.
IEEE Performance, Computing, and Communications Conference. pp 293-302. February 1999.
Formal Verification of Snoop Based Cache Coherence Protocol Using Symbolic Model Checking [PS]
S. Srinivasan, P. Chabra, P. Jaini, Adnan Aziz, and Lizy John.
12th International Conference on VLSI Design. pp 288-293. January 1999.
Evaluating MMX Technology Using DSP and Multimedia Applications [PDF]
Ravi Bhargava, Lizy John, Brian L. Evans, and Ramesh Radhakrishnan.
IEEE Symposium on Microarchitecture. pp 37-46. December 1998.
Execution Characteristics of Object Oriented Programs on the UltraSPARC-II [PS]
Ramesh Radhakrishnan and Lizy John.
International Conference on High Performance Computing (HiPC). pp 202-211. December 1998.
Code Coalescing Unit: A Mechanism to Facilitate Load Store Data Communication [PS]
Lizy John, Y. Teh, F. Matus, and Craig Chase.
IEEE International Conference on Computer Design. pp 550-557. October 1998.
A Novel Memory Bus Driver/Receiver Architecture for Higher Throughput [PS]
G. Beers and Lizy John.
11th International Conference on VLSI Design. pp 259-264. January 1998.
Hybrid Tree: A Scalable Optoelectronic Interconnection Network for Parallel Computing
Eugene John, F. Hudson, and Lizy John.
31st Annual Hawaii International Conference on System Sciences. Vol. VII. pp 466-474. January 1998.
Design and Performance Evaluation of a Cache Assist to Implement Selective Caching [PS]
Lizy John and A. Subramanian.
IEEE International Conference on Computer Design. pp 510-518. October 1997.
Experience Teaching a Senior Level Course on Digital Design Using FPGAs
Lizy John.
IEEE International Conference on Microelectronic Systems Education. pp 97-98. July 1997.
Modeling and Analysis of the Difference-Bit Cache [PS]
A. Kulkarni, N. Chander, S. Pillai, and Lizy John.
Great Lakes Symposium on VLSI. pp 140-145. March 1997.
Improving the Parallelism and Concurrency in Decoupled Architectures [PS]
Lizy John and Ramesh Radhakrishnan.
8th IEEE Symposium on Parallel and Distributed Processing. pp 130-137. August 1996.
VaWiRAM: A Variable Width Random Access Memory Module [PS]
Lizy John.
9th International Conference on VLSI Design. pp 219-224. January 1996.
Investigating the Use of Cache as a Local Memory [PS]
Lizy John, Raghu Reddy, Vijay Kammila, and Peter Maurer.
International Conference on High Performance Computing (HiPC). pp 117-122. December 1995.
A Comparative Evaluation of Software Techniques to Hide Memory Latency [PS]
Lizy John, Vinod Reddy, Paul Hulina, and Lee Coraor.
28th Annual Hawaii International Conference on System Sciences. Vol. I. pp 229-238. January 1995.
Design of a Highly Reconfigurable Interconnect for Array Processors [PS]
Lizy Kurian, Daniel Brewer, and Eugene John.
8th International Conference on VLSI Design. pp 321-325. January 1995.
Program Balance and Its Impact on High Performance RISC Architectures [PS] [PDF]
Lizy Kurian, Vinod Reddy, Paul Hulina, and Lee Coraor.
International Symposium on High Performance Computer Architecture. pp 370-379. January 1995.
A Performance Model for Prioritized Multiple Bus Multiprocessor systems [PS]
Lizy Kurian and Yu-cheng Liu.
IEEE Symposium on Parallel and Distributed Processing. pp 577-584. October 1994.
Module Partitioning and Interlaced Data Placement schemes to reduce Conflicts in Interleaved Memories [PS]
Lizy Kurian, Bermjae Choi, Paul T. Hulina, and Lee D. Coraor.
23rd International Conference on Parallel Processing. Vol. 1. pp 212-219. August 1994.
Memory Latency Effects in Decoupled Architectures with a Single Memory Module [PS]
Lizy Kurian, Paul T. Hulina, and Lee D. Coraor.
19th International Symposium On Computer Architecture. pp 236-245. May 1992.
Effect of Hot Spots on Multiprocessor Systems Using Circuit Switched Interconnection Networks [PS]
Lizy Kurian and Matthew J. Thazhuthaveetil.
20th International Conference on Parallel Processing. Vol. I. pp 554-557. August 1991.
Classification and Performance Evaluation of Instruction Buffering Techniques [PDF]
Lizy Kurian, Paul T. Hulina, Lee D. Coraor, and Dhamir N. Mannai.
18th International Symposium on Computer Architecture. pp 150-159. May 1991.